Репозиторий Dspace

Эмулятор PCI Express для HDL-моделирования

Показать сокращенную информацию

dc.contributor.author Шворин, А. Б.
dc.contributor.author Shvorin, A. B.
dc.date.accessioned 2015-09-07T04:37:53Z
dc.date.available 2015-09-07T04:37:53Z
dc.date.issued 2014
dc.identifier.citation Шворин, А. Б. Эмулятор PCI Express для HDL-моделирования / А. Б. Шворин // Вестник ЮУрГУ. Серия Вычислительная математика и информатика.- 2014.- Т. 3. № 4.- С. 51-60.- Библиогр.: с. 58-59 (9 назв.) ru_RU
dc.identifier.issn 2305-9052
dc.identifier.uri http://dspace.susu.ac.ru/xmlui/handle/0001.74/5193
dc.description Шворин Артем Борисович, инженер-программист Института программных систем им. А.К. Айламазяна Российской академии наук (Переславль-Залесский, Российская Федерация),art@shvorin.net. A.B. Shvorin, Program Systems Institute of RAS (Pereslavl-Zalessky, Russian Federation) ru_RU
dc.description.abstract В данной работе описывается эмулятор PCI Express - инструмент, позволяющий упростить разработку и отладку некоторого класса аппаратных устройств, работающих по протоколу передачи данных PCI Express. Эмулятор позволяет промоделировать поведение разрабатываемого устройства на обычном компьютере, что значительно сокращает цикл отладки. This paper describes PCI Express emulator. This tool is aimed to simplify development and debugging of certain class hardware devices using PCI Express protocol. The emulator is capable to simulate a device under development by a conventional computer. I t significantly reduces debug time. ru_RU
dc.language.iso other ru_RU
dc.publisher Издательский центр ЮУрГУ ru_RU
dc.relation.ispartof Вестник ЮУрГу. Серия Вычислительная математика и информатика ru
dc.relation.ispartof Bulletin of South Ural State University. Series 'Computational mathematics and software engineering" en
dc.relation.ispartofseries Вычислительная математика и информатика;Том 3
dc.subject разработка аппаратного обеспечения ru_RU
dc.subject моделирование аппаратуры ru_RU
dc.subject эмуляция ru_RU
dc.subject PCI Express ru_RU
dc.subject hardware design ru_RU
dc.subject hardware simulation ru_RU
dc.subject emulation ru_RU
dc.subject УДК 004.4'22 ru_RU
dc.subject ГРНТИ 50.09 ru_RU
dc.title Эмулятор PCI Express для HDL-моделирования ru_RU
dc.title.alternative PCI Express emulator for hardware design modelling ru_RU
dc.type Article ru_RU


Файлы в этом документе

Данный элемент включен в следующие коллекции

Показать сокращенную информацию

Поиск в DSpace


Расширенный поиск

Просмотр

Моя учетная запись